Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vlsi_dst

  1. V

    Regarding transmitting and receiving code for Microblaze in Spartan 3A

    Go to the API documentation of the RS232DCE or some thing which you have selected while generating the Base system builder. There you would find all the global declarations of transmitting and receiving the data..For example, if you have selected Uart , then to initialise the uart you can use...
  2. V

    Job in Australia for dependent

    Ohh.Thank you very much for the reply.. Is it really so?? What are the other jobs I can get into?? I mean how is the job market there??Can dependent's work?
  3. V

    Job in Australia for dependent

    Cant I get any help in this front??
  4. V

    Job in Australia for dependent

    Hi Everyone, I am working in India as engineer(R&D).I work on FPGA Design.I have got 5 years experience in front end design. Now I have to go to Australia as a dependent . I wanted to know about the job market in Australia. Especially in VLSI Field. Can anyone help me with the information...
  5. V

    How can I reconfigure a virtex-5 througth PPC440

    You can use ICAP or use partial reconfiguration using EDK
  6. V

    design of a simple calculator using VHDL code

    Take all the numbers 0 – 9, and clear,=, + and - etc as inputs. Instantiate a RAM so that whatever calculations you are doing will be stored in it. Program in such a way that when you press " clear", the RAM gets erased. All the Best
  7. V

    RoadMap for FPGA Programming with VHDL

    One of the Good books for learning VHDL and Verilog programming is " HDL CHIP DESIGN by Douglas Smith". You can find an ebook in **broken link removed**.
  8. V

    ModelSim 'work' library error on using ise

    You need to add the work Library. then "Compile HDL simulation Libraries".
  9. V

    Need code for finding square root using cordic algorithm

    Go for babylonian method of finding square root. Its quite easy to program in VHDL also. You can find it in Methods of computing square roots - Wikipedia, the free encyclopedia ---------- Post added at 12:33 ---------- Previous post was at 12:32 ---------- Go for babylonian method of finding...
  10. V

    Codes foe decoding .mp3 file in FPGA

    Do you have any Xilinx development board or your own customised board?
  11. V

    interleaver/de-interleaver

    Its for a software defined Radio.
  12. V

    interleaver/de-interleaver

    I need to create logic for interleaver/de-interleaver in VHDL. Can anyone gimme a clue on this?? please!!!!
  13. V

    Issue with USART in MSP430 microcontroller.Need Suggestions!

    Hello Everyone, There are 2 USART's in MSP430 Microcontroller. I'll be getting continous data (asynchronous data ) at a rate of 38.4kbps wherein there might be break characters also in the data. I'll have to receive the data in the asynchronous mode and transmit it in synchronous mode by...
  14. V

    How to program and initialize Freescale MPC885?

    Hi all, I am currently working on Freescale MPC885 and am new to it. Can somebody please let me know how to program it and how to initialise it...I am mean any sample codes or something of that sort?? Hope I get some help!!! Thanks and Regards, T
  15. V

    Help in FPGA/VHDL - need to create an activation signal

    Re: Help in FPGA/VHDL CHeck whether the key is active High or Active low.. and how you have initiated the signal.I think maybe you have initiated the signal in the reverse manner.

Part and Inventory Search

Back
Top