Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by vitruvius

  1. V

    [AVR] Receiving Data with using a State Machine

    Unfortunately I do not know how to read the assembly code. What is the second level of case statement for?
  2. V

    [AVR] Receiving Data with using a State Machine

    Hello, I am sending some data from one Atmega644P to another Atmega644P consecutively. The first three bytes are SYN(0x16), DLE(0x10), and STX(0x02). On the receiving part, I made a state machine to control if I receive those bytes correctly. When it is in DLE_1_s state, it goes directly to...
  3. V

    Writing a variable on LCD Screen

    I think the problem is with the StopTimer signal. My lcd process starts with: begin if (clk'EVENT and clk='1') then if (StopTimer='0') then But "StopTimer" never changes..
  4. V

    Writing a variable on LCD Screen

    I'm using Xilinx ISE Design Suite 13.3. I've changed this part signal cnt : integer range 0 to 8 :=3; And i get "CNT=3" all the time, it doesn't change when i press the button.
  5. V

    Writing a variable on LCD Screen

    It still doesn't work. My entire code: library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity tplcdvebuton is port ( buton : in std_logic; led : out std_logic_vector(7 downto 0); clk : in std_logic; DB : out...
  6. V

    Writing a variable on LCD Screen

    But this part works correctly. process(cnt) begin case cnt is when 0 => ledg <= "00000000"; when 1 => ledg <= "00000001"; when 2 => ledg <= "00000010"; when 3 => ledg <= "00000100"; when 4 => ledg <= "00001000"...
  7. V

    Writing a variable on LCD Screen

    I tried this: DATA<=(conv_std_logic_vector((cnt+48),8)); But this time, i just see a "0" and it doesn't change when i press the button.
  8. V

    Writing a variable on LCD Screen

    When i tried like this: DATA<=(conv_std_logic_vector((cnt),8)); i see a character looks like || and it doesn't change when i press the button.
  9. V

    Writing a variable on LCD Screen

    Hi all. I'm begginer at both Fpga and Vhdl and i have got Spartan-3E Starter Kit. I can write every character i want. For example, the character "R" from the CG-ROM have the value "01010010". I send this data like this: DATA<=X"52"; --R when 193=> DB<=DATA(7 downto...
  10. V

    Led Control with One Button

    Hi all. I'm a begginer at VHDL and Fpga and i have Spartan-3E Starter Kit. I tried to do led control with using only one button. I wanted that when i press the button, next led will be logic 1. The problem is; leds don't be logic 1 in the right order. My Vhdl Code: library IEEE; use...

Part and Inventory Search

Back
Top