Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Hello,
I am sending some data from one Atmega644P to another Atmega644P consecutively. The first three bytes are SYN(0x16), DLE(0x10), and STX(0x02). On the receiving part, I made a state machine to control if I receive those bytes correctly.
When it is in DLE_1_s state, it goes directly to...
I think the problem is with the StopTimer signal. My lcd process starts with:
begin
if (clk'EVENT and clk='1') then
if (StopTimer='0') then
But "StopTimer" never changes..
I'm using Xilinx ISE Design Suite 13.3.
I've changed this part signal cnt : integer range 0 to 8 :=3;
And i get "CNT=3" all the time, it doesn't change when i press the button.
It still doesn't work.
My entire code:
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity tplcdvebuton is
port (
buton : in std_logic;
led : out std_logic_vector(7 downto 0);
clk : in std_logic;
DB : out...
But this part works correctly.
process(cnt)
begin
case cnt is
when 0 => ledg <= "00000000";
when 1 => ledg <= "00000001";
when 2 => ledg <= "00000010";
when 3 => ledg <= "00000100";
when 4 => ledg <= "00001000"...
Hi all. I'm begginer at both Fpga and Vhdl and i have got Spartan-3E Starter Kit. I can write every character i want. For example, the character "R" from the CG-ROM have the value "01010010". I send this data like this:
DATA<=X"52"; --R
when 193=>
DB<=DATA(7 downto...
Hi all. I'm a begginer at VHDL and Fpga and i have Spartan-3E Starter Kit. I tried to do led control with using only one button. I wanted that when i press the button, next led will be logic 1. The problem is; leds don't be logic 1 in the right order.
My Vhdl Code:
library IEEE;
use...
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.