Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by swordfish01

  1. S

    VHDL-AMS: reference current

    Hi, I'm having a problem writing a VHDL-AMS model for a current sink. I have a working model for a sink that can be turned on / turned off. Now I want to expand that model by a reference input to make it more like a current controlled current source that can be turned off. How can I make this...
  2. S

    where can i find AMS 0.35um cmos design rules?

    Another idea: One of your Professors should be able to give them to you. Or you could also have a look into the DRC files.
  3. S

    Annotation Browser in Cadence Layout XL

    Hi, We are running IC6.1.xx with a AMS HitKit 4.0 (H35 process) here. Using LayoutXL for doing my layouts, I used the annotation browser to show me unrouted connections in the layout. Now I have the problem that my flylines don't display correct connections anymore, they point somewhere into...
  4. S

    string not received at 1st attempt

    Normally you don't have to do that, since you don't really send string via the interface, you only send a bitstream.
  5. S

    need help in averaging the data.

    I don't really know about brain wave signals, but you mentioned averaging. If you just want to average your data, you just need to filter the signal (FIR or IIR filter). If you know how the Signal looks you are searching for, then you might be able to use correlation to find it in your...
  6. S

    Byte and Bit definition in MATLAB

    Hi, You can obtain a 8 bit value by the notation ui = uint8(X); see also Convert to unsigned integer - MATLAB You can also use bitwise operations on those values: **broken link removed** Regards, Andreas
  7. S

    to see a sine wave at the output of xilinx simulator

    Hi, If I understand you correctly you just want to change how the value is displayed? You use ISE for simulation? AFAIR ISE is not able to display analog signals as other simulators can. On thing you could do is to write the values to a file and use another tool (matlab, gnuplot, ...) to plot...
  8. S

    combining filters to extract higher bandwidth signal

    Is there a specific reason why you don't design a filter for a 5kHz bandwidth in the first place? If the characteristics of the filters permit it, you should be able to add the results of both filters (both having a center frequency differing by 2.5kHz) and have your signal. But as I said, why...
  9. S

    Equally timed readings for frequency measurement

    Yes, that was the idea. The timer in CCP2 can provide the timing for equally spaced samples. Since the sampling itself is triggered by the timer you don't have delays coming from the software (for triggering). After the value is sampled you have to copy it to another location though. That has to...
  10. S

    How to detect (12v) pulse from two wire meant for speedometer/Taxi meter

    The schematic won't work in your case since it uses a reed-relais and a magnet mounted to the wheel and does not decode a pulse from the bike. Apart from the the circuit seems ok, and the logic after the optocoupler should be the same as in your case. I wouldn't use such an old controller if I...
  11. S

    string not received at 1st attempt

    I see that you have some debug outputs in your code. What does the uC send? Btw. I re-red your first post, is it only the last digit that is missing?
  12. S

    Equally timed readings for frequency measurement

    Hi, If you look into the datasheet Chapter 17.5 Use of the CCP2 Trigger (at least in my copy) , you see that you can let the Timer trigger the conversion directly without invoking an interrupt. That would ensure a stable timing (as stable as your Oscillator permits) and save the overhead of...
  13. S

    How to detect (12v) pulse from two wire meant for speedometer/Taxi meter

    Hi, Choose a micro controller that has a capture-compare unit, that will allow you to measure the time between single pulses. From the time between single pulses you can calculate the speed, from counting the pulses you can integrate the traveled distance. It might also be helpful to have the...
  14. S

    Good usage Bright Light LEDs 5W or 10 Watts

    Hi, Since the topic is in the "Professional Hardware and Electronics Design" Section, I wasn't thinking of some circuit hacking... We could discuss your "hard to solder" point, but I think that in general it should not be a problem to solder an SOIC or a TQFP, we do that on milled test-PCBs all...
  15. S

    Upsampling - questions

    Hi, I'm not entirely sure about the OFDM, but with upsampling you increase your symbol rate. To get an accurate answer you might have to tell us where the upsampling occurs (before the IDFT, after it, etc.). If the iDFT output is upsampled, it may be to decrease inter-symbol interference. If...

Part and Inventory Search

Back
Top