Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Sweta25

  1. S

    Serial to Parallel Converter VHDL codes

    I understand gated clock is a bad design practice but this was done in order to "freeze" the parallel..I thought that maybe the arduino is not synchronised which arose the encountered problem...if I remove the debounce codes and the gated clock still I get no results....
  2. S

    Serial to Parallel Converter VHDL codes

    Hi :) I need to test my SIPO codes on the Nexys3 board..Actually I am using an Arduino as adc which has as an input from power supply and its output is fed to Nexys3.. The codes then convert this digital serial value to parallel value..On stimulating the codes the desired waveform are obtained...
  3. S

    stepper motor vhdl codes

    Hi I am trying to write codes for half step for stepper motor and I am using a clock divider so as I can choose the frequency of the motor. But I am getting errors, any help would be appreciated. Thanks in advance :) library IEEE; use IEEE.STD_LOGIC_1164.all; use IEEE.STD_LOGIC_unsigned.all...
  4. S

    Serial to Parallel Converter VHDL codes

    so what can i use ??? is there any other option??? Problem is that I learnt C programming first then now I am learning VHDL so I tend to use C as a reference...
  5. S

    Serial to Parallel Converter VHDL codes

    Hello, I rewrote the codes for the Serial to Parallel and problem is that I need 7 8-bit parallel outputs but on stimulating I am getting only 1 8-bit parallel output..the other 6 output are similar....according to my simulation my Din (serial input) is 20 bits.. atleast 2 proper 8-bit parallel...
  6. S

    Microstepping (16 steps)

    ohhhh is there no option left???
  7. S

    Microstepping (16 steps)

    Hello, I am encountering a problem with microstepping. for full steps: when "00" => dout <= "1000" when "01" => dout <= "0100" when "10" => dout <= "0010" when "11" => dout <= "0001" for half steps: when "000" => dout <= "1000" when "001" => dout <= "1100" when "010" => dout <= "0100" when...
  8. S

    5V Stepper motor 28BYJ-48

    I am unable to use microstepping..
  9. S

    5V Stepper motor 28BYJ-48

    You think I should use PWM.....am little cnfused??? can't I use gearing mechanism???
  10. S

    5V Stepper motor 28BYJ-48

    Hello Everyone, I am using a stepper motor (5V, 28BYJ-48) and the problem is that I am unable to make the motor rotate by 1 degree...I was able to rotate it at a frequency approx 94Hz (the minimum frequency I could use to enable rotation)....I think I require a gearing mechanism but my knowledge...
  11. S

    Two Axis Solar tracking system

    Even I am working on a similar project...I am using photodiodes as sensors and two stepper motors and FPGA as controller. Even I would suggest stepper motors :)
  12. S

    Serial to Parallel Converter VHDL codes

    Hello Everyone, I am working on some codes for the serial-to-parallel converter...in fact I need to convert 6 serial values ( from 6 sensors which have already been converted to digital form) to parallel form which will be later fed to a comparator... I wrote some codes but I am encountering...
  13. S

    ADC interface with FPGA

    From the datasheet, in order to have continuous reading, the AD7193 must be configured, what type of configuration is required?? and it also says that sufficient SCLK must be applied to ADC, what number of cycles are required?? I know I am being really annoying with my questions but i really...
  14. S

    ADC interface with FPGA

    Thanks...one doubt cleared...I read that when Dout/RDY is low , conversion is complete...I thought the pin Dout will give me the digital output :/
  15. S

    ADC interface with FPGA

    Hi, I used the clock divider to set the clock of the ADC (according to dataheet 4.8kHz)... I will input an analog signal to the ADC...problem is how to check for the end of the conversion to digital so that i can send it to the FPGA?? Thanks

Part and Inventory Search

Back
Top