Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.
Hi all,
I am stuck in a code part
reg [3:0] memory[0:255];
.....
initial begin
$readmemb("out.txt", memory,0,255);//this is reading fine
end
.....
always@(posedge clk) begin
$display("%d\n",address);
descriptor = $fopen("in.txt","w");
$fwrite(descriptor,"%b\n",memory[address])...
The attached is a code fro 8*8 mulitplier this doesnot work. tried simulating with modelsim and xilinx ISM
I want it to be a normal multiplier using addition by the integer value.
please help
`timescale 1ns / 1ps
module multi8_8(input [7:0] x,y,input clk, output [15:0] z );
wire [7:0]...
hello all.
i have a small query...
i know writing 0x35 to SSPCON ll control the salve using SlaveSelect pin @ PA.5, also the salve pin need to be controlled by the master by pulling it to ground to select the slave. All good till now..
the query is..
1.is it so that the SS pin be toggled...
hi all
i am trying to implement spi communication between two 16f877a.
am giving my CCS code below
for master
void main()
{
TRISC=0x10;//SCK is output (Master), SDI is input,
TRISA=0x00;//Slave Select Bit
ADCON1=0x06;
SSPSTAT=0x40;//Mode 1,1 SPI, middle of output time sampling...
Re: pickit2 clone problem
hi wills
actually i purchased it from a vendor. so i might not be able to putup a file for it, SORRY!
but right from start i have been having problems with the programming of PB.6,7 pins i recently only noticed it with programming my controller with a different...
dear all
the problem i am facing is that what ever i try to connect with my PB.6 PB.7 pins it is not working.
the program and all other part and files are good working flawlessly, even the same(PB.6 PB.7 pins) is working while using a different programmer.what would be the problem/solution for...
thanks for the suggestion anand. i did glcd_fillscreen() only. just posted the whole code. double checked the continuity its fine. anything thing else that am missing..?
?
This site uses cookies to help personalise content, tailor your experience and to keep you logged in if you register.
By continuing to use this site, you are consenting to our use of cookies.