Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by shedo

  1. S

    saif_map -write_map ... (generate empty file)

    Hi all, I'm trying to generate a "Name Mapping File" for primetime px, starting from a sldb description of "DW_ram_rw_s_dff.v". For normal design with verilog source I don't have any problems but if I use a sldb description (dw_foundation.sldb), design compiler generate an empty "Name Mapping...
  2. S

    [SOLVED] Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'.

    That was the problem! Thanks!!! :-D set search_path {. ../src/hdl/rtl ../../90nm/snps} set target_library {saed90nm_typ.db} set synthetic_library {dw_foundation.sldb} set link_library "$target_library" set link_library [concat $link_library $synthetic_library] read_verilog...
  3. S

    [SOLVED] Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'.

    Yes, I copied it from synopsys directory to current working directory. I have tried to simulate it with a tb and it worked perfectly.
  4. S

    [SOLVED] Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'.

    Can you post an example of how to instantiate it properly? Thanks for your help!
  5. S

    [SOLVED] Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'.

    I tried to use the "DW_ram_rw_s_dff.v" component that I have found in "/synopsys/synthesis/H-2013.03-SP5-3/dw/sim_ver" but I have the same problem. :bang: #set search_path {. ../src/hdl/rtl ../src/lib/snps ../src/sim} #set link_library {* core_typ.db} #set target_library {core_typ.db} set...
  6. S

    [SOLVED] Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'.

    Hi all, I'm trying to synthesize the "DW_ram_rw_s_dff" from dw Block IP but I have this warning: Warning: Cannot find the design 'DW_ram_rw_s_dff' in the library 'WORK'. (LBR-1) I used the "**broken link removed**" provided by Synopsys. This is my script: set search_path {. ../src/hdl/rtl...
  7. S

    PrimeTime PX toolflow

    Hi all, I'm a student of the University of Verona and for my Master thesis I need to create a cycle-accurate vcd trace of my component, so after various searches on google I found PrimeTime PX ( I don't know if there are better tools, if you know another, can you suggest me?). I searched a...
  8. S

    VHDL convert string to integer

    Thank you very much!
  9. S

    VHDL convert string to integer

    I send a single character at a time and fpga receives the corrisponding ascii code. When I send a special character ('!' choose by me) the fpga compact the previous characters into a string.
  10. S

    VHDL convert string to integer

    mmm, ok... I have this problem: I receive a string from uart and I need to convert it to integer to make an operation. My problem is the conversion from string to int. Can you help?
  11. S

    VHDL convert string to integer

    Thanks a lot!!!!!
  12. S

    VHDL convert string to integer

    Hi all, I want to convert a strings into integer values. eg: "123" => 123 Can someone recommend a "best" way of converting strings to integers in VHDL? Thanks
  13. S

    VHDL UART code problem for xilinx spartan-6 sp605

    Hi Pete, I found that the problem is the clock. I use AB13 pin and I have seen at page 28 of "Hardware user guide" that this one is a X2 27MHz OSC so I set in the code: CLOCK_FREQUENCY:positive := 27000000; and now it'is working!!! I don't know how to use the U6 200MHZ OSC in order to set...

Part and Inventory Search

Back
Top