Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by seu_noop

  1. S

    Design Compiler warnings

    i think that these optimizations are from your design.you can check your netlist and check which port is tied high or low. then trace back to the rtl. you can find why the dc optimize the rtl.
  2. S

    what is useful skew.how will come useful skew?

    it is useful material, thanks. Nikhil
  3. S

    Explain me this Total Leakage Power

    Re: Total Leakge Power Can you give the area of the different synthesis ?
  4. S

    Timing loop problem with: assign mux_a=(en) ? b : mux_a;

    when i synthesis the design, DC reports that there is a timing loop, it was caused by the following code assign mux_a = (en) ? b : mux_a; This is the combination logic loop? Should I change my rtl code?
  5. S

    144 pin Micro-DIMM connector manufacturers ?

    AMP perhaps has such connector! good luck!
  6. S

    How to identify scan pins in a cell using DC ?

    Re: DC - Identify scan pins Hi wadaye, Astro will reorder the scan chain and need the DC to generate a script which defines the scan chain in and out port .Do you know which command of the DFT compiler can do that? Thank you!
  7. S

    Why i can't see delay in NC simulation annotated with sdf?

    hi all! I am sure the sdf file has been annotated to post layout netlist! but in wave trace,I can't see the delay. For example, the clock propagated throgh the clock tree. thanks a lot!
  8. S

    the netlist difference between DC and CTS

    thank you! my simulation is reading the data from the cpu rom continously,4 times once. the first three words is readed correctly,but the fourth word is wrong. I think if there is timing violation,the former operations should be wrong either. 00000000 + -------+00000+ --------+ clk000...
  9. S

    the netlist difference between DC and CTS

    hi all: I have a problem! when I simulate my netlist from Design Compile with NC verilog, it is ok! but when I simulate my netlist dumped from Astro which just insert the clock buffer, I found the timing of the rom reading operation fail. and there is no timing information added in...
  10. S

    some questions about Astro!

    Can u tell me whichi command can do that? thanks
  11. S

    question : how to include my logo in the Virtuso layout ?

    moini chiplogo hi , all: i want to convert a bitmap file to gds,so the virtuso layout tool can import my logo. which tool can do this ? thanks a lot!
  12. S

    some questions about Astro!

    thanks! But I read some sdc files, i find that the designer add the set_propagated_clock constraint for every clock. and there is no one in my sdc file. Is the file uncomplete? Will this item affect the clock tress synthesis!
  13. S

    File format for (.lib) (.db) (.gds) (.clf) (.tdf) (.sdc)

    sdc constraint file format .tdf file is just for you to floorplan the pad positon.you should write it youself. the file includes the position of the power pad!
  14. S

    some questions about Astro!

    hi all! when i begin to load my sdc file which is the outputed file of Design Compiler, what should I pay attention to ?esipically the clock signal.Should I change some setting ? Thank a lot!

Part and Inventory Search

Back
Top