Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by r_e_m_y

  1. R

    Fatal error in VHDL: can't open a file in rb mode

    vhdl writeline Hi emmos, This should work... Are don't you have any extension to your files (.txt, .bin, .hex, ....) ?
  2. R

    Read - Write a file from physical disk by VHDL

    Hi kangta Check the MaxPlusII help : File I/O cannot be synthesized; therefore, calls to TEXTIO functions are ignored.
  3. R

    bidirectional data bus problem

    Hi umerarain, You should try with this code (not in a process) : External_bus <= a when Output_enable = '1' else (others => 'Z'); If you want to keep your process, add signal a in your sensitive list.
  4. R

    Resources about reed solomon decoder

    Re: reed solomon decoder Hi, A usefull link: **broken link removed** :idea:
  5. R

    [question] clock gating cell

    clock gating cell code Hi gerade, When you write : clock_gating_latch : process (CP, latch_enable_s) begin if (CP = '0') then clk_latched_s <= latch_enable_s; end if; end process clock_gating_latch; I understand that you want to latch the value of latch_enable_s on falling edge...
  6. R

    how to introduce clock delay inside cpld?

    delay in cpld Hi catrat, For @ltera device, you can to this by adding LCELL component. in vhdl, the LCELL declaration is : COMPONENT LCELL PORT (a_in : IN STD_LOGIC; a_out: OUT STD_LOGIC); END COMPONENT; The @ltera tool will take the LCELL component in its library. This will...
  7. R

    How multisource error are removed in vhdl code?

    Hi smartshashi, I've looked at your design and have some advice for your mem component : - do not use inout internal signal, use a data_in bus and a data out bus - in your process, try to write a code with if ... elsif ... else ... end if, instead of data<= xxxx; if ... then data <= yyyy; end...
  8. R

    Xilinx router warning

    Hi osbourne, You seems to have 3 clock signals as input of your design and may be only two clock route available (buffer + global route)... Which device do you target ? Do you really need 3 input clock ?
  9. R

    Ram Problem, help me !!!!!!!!!

    ram problem codes Hi, The LPM_RAM_DQ is only a macrofunction for single port embedeed ram. If your target is an altera, just declare your component and map it in Verilog. If your target is an other component, you may code in verilog a similar bloc ram : Look at this coming from Xilinx...
  10. R

    fuction call within state machine.execution time of function

    Re: fuction call within state machine.execution time of func Hi chaitu2k, I don't think that you can use a synchronous function (that means it contains clocked process = Flip Flop) to affect a signal in an combinatorial process. The function may only generate combinatorial. If your signal...
  11. R

    simultaneous use of rising and falling edge

    Hi arshad_mir, Remember that what you write in vhdl describes logic elements or flip flop. when you use my_signal'event argument, the sysnthesiser will always map my_signal on the clock input of a flilp flop (or inverted clock for falling edge ...). What you seems to want to do is to detect a...
  12. R

    Need suggestion or idea for a new project

    Hi, I suggest you an USB scrolling display. This product should : - have an USB connection - display a message on a LED screen with scrolling effect - be parametrized by a PC We can imagine that the LED screen is big to be seen in the street for advertising, or that the screen is very small to...
  13. R

    No glue logic in top level module?

    Hi, I think that the recommendation of providing only component mapping on a top architecture comes from re-use constraints. If your design is correctly defined, all the functions are integrated in your different components. Your component may be reused "as it is" in an other design. The only...
  14. R

    pulse generator in vhdl

    pulse generator vhdl code Hi ZeleC, Couldn't you reuse this ? :wink:
  15. R

    Help me with my VHDL code for a pulse generator

    Re: vhdl Q? Hi, Do not ever forget that you are describing flip flop register and logic gate combinations when you write synthesisable vhdl. When I write delay_trig <= trig, that means that i want the D input of my flip flop to be connected to the trig signal. in a RTL view, you will have ...

Part and Inventory Search

Back
Top