Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by pete_lu

  1. P

    How to estimate power consumption at the IP design level?

    RTL level: Using tools, such as spyglass power, joulus Gate level: Using tools, PTPX
  2. P

    where to insert the lockup latches

    MMMC is the right answer, we don't need to insert lockup cells in scan-capture path since hold violation in scan-capture mode will be fixed in scan-capture mode analysis! And scan cts will be implemented after function cts, so we don't need to take care of clock skew in scan capture mode.
  3. P

    Will DC remove un-used logic when synthesis

    right, there is options to remove unloaded sequential logic. set compile_delete_unloaded_sequential_cells true
  4. P

    Scan compression structure using DFTC

    Hi guys, I meet a problem when I using scan compression HPDF structure. First I insert scan on Sub block (using scan compression). Second I want to insert scan on TOP hybrid (using scan compression). The problem occurs: What I want is to stitch the scan chains of Sub to the hookup point on TOP...
  5. P

    dftmax-hierarchical scan compression problem

    dftmax When I used hybrid flow of dftmax to do hierarchical scan compression, the sub scan chain of sub design can't stitch to the top. It reported Error in preview-dft step that "Core scan segments 'all sub scan chain' have DRC violations. Scan Compression integration cannot proceed." But I...
  6. P

    Large IOPATH delay in SDF file writtenout by DesignCompile??

    please check the timing report, to find which wire/port is the most large delay occurs, and then check the library to find whether there is corresponding timing settings. for SRAM, ROM, different EMA setting can cause the large delay problem. for DFF, the uncorrect large input transition time...
  7. P

    ATPG constariant Vs cell constraint

    add cell constraints are used to control the load and unload values allowed on scan cells. And TM will create patterns that satisfy cell constraints. It only works in DRC mode. add atpg constraints is used to define constraints that are required to be satisfied during ATPG. Also the constraints...
  8. P

    tetra max questions - is there a way to run loops

    tetra max questions As I said before, use the command "set pattern -del" to prevent overall fault coverage. And if there is fault dropping, it is since the pattern generation based on diffrerent netlist. So if you can get the result, just ignore the fault dropping.
  9. P

    tetra max questions - is there a way to run loops

    tetra max questions Please use 0809 version, this version support tcl mode and use tcl command I think it is easily to implement the loops. For the second question, please use the command "set pattern external" and "set pattern -del". Hope it helps!
  10. P

    What is High-Z do to scan-in in DFT?

    Generally speaking, High-Z input should not appear in stil/wgl file. One exception is BIDI pin, force first cycle as High-Z to make it uni-directional. And notice that High-Z is different from 0/1, so if you modifiy the High-Z input as 0/1, scan-out compare will come error. But X is same as...
  11. P

    [SOLVED] what is clock latency and clock uncertainty

    set clock latency right, and for detailed information, refer to MarcS's reply. It is very detail and professional.
  12. P

    Max cap & tran limit in SDC

    yes, for normal case, library setting can meet your request such as fanout&tran. but for some special case you need to set your own constraints (more strict than the library setting) such as clock tree path.
  13. P

    Max cap & tran limit in SDC

    you can check your 65nm standard cell library for reference!
  14. P

    Verilog Compilation problem in module instantiation

    naming conflection: "ckuclksysintgd" in module x, "ckuclksysintgdr" in instantiated module ckuclksysinthzsliced.
  15. P

    Preserving modules during synthesis in RTL Compiler

    vhdl synthesis keep // synopsys dc_script_begin // set_dont_touch {instance_name} // synopsys dc_script_end I think it is the easiest way to preserve your inverters.

Part and Inventory Search

Back
Top