Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Oana

  1. O

    How to make an use a memory table in VHDL?

    Re: Memory table in vhdl Well the project is part of a bigger one related to Petri nets, that was not the point, it's not about central or distributed communication.... my question was how to make a memory table in vhdl, something that only keeps teh relation between modules, between their...
  2. O

    How to make an use a memory table in VHDL?

    Re: Memory table in vhdl Yes, i thought i was not clear. So for sending and receiving the messages i will use an UART, so point to point communication. So, for example, the communication module will receive a message from UART and it will verify if the destination address is his, if it is, it...
  3. O

    How to implement checksum in VHDL?

    crc in vhdl Thanks alot childs!! This helps me. And if it were to implement CRC, how would that look?:D I looked for the CRC algorithm but i coudn't find a clear answer.... Thanks!!
  4. O

    How to design a module that assemblies messages in VHDL?

    Message assembly in vhdl Hello everyone, I have to design a module in vhdl that is capable to assamble and dissamble messages. Can anyone give me some pointers on how to do thta? Thanks!! Oana
  5. O

    How to implement checksum in VHDL?

    Hello everyone, Anybody can tell me how can i implement a checksum in vhdl. Thanks!! Oana
  6. O

    microcontroller- Bluetooth module-physical connection

    bluetooth microcontroller thanks everyone!! it was really helpfull what you told me! thanks again! Oana
  7. O

    How to make an use a memory table in VHDL?

    Memory table in vhdl Hello everyone, So i have to design a communication module that will have some inputs and outputs and will connect more components from de same sistem(i have a sistem that will be divided and i have to rezolv the communication between them). so every component will be...
  8. O

    programming an mobile phone

    Hi, Well I want to make an application on the phone that will enable it to have a certain code or a special ID and when near the Bluetooth module, after setting up a connection, to send this code to the module, and the module will send it further to a microcontroller or an FPGA for processing...
  9. O

    programming an mobile phone

    Hello, I want to make a Bluetooth related application with a mobile phone. But I am new to this and I don't know how to programm a mobile phone, I mean the programming language(I am thinking Java), what software platform to use and how to use it, how to transfer the code onto the mobile phone...
  10. O

    microcontroller- Bluetooth module-physical connection

    microcontroller bluetooth Hei gorkin, Yes, I have a problem there, because obviously i did read the datasheets of LMX9838 and ATmega16(this is the microcontroller that i was thinking of using) and LMX9838 has an 3.3V power supply and ATmega16 has an 5V power supply, so like you said I need...
  11. O

    microcontroller- Bluetooth module-physical connection

    bluetooth module microcontroller Hi, I have a LMX9838 Bluetooth module from national semiconductors,I want to interface it with a microncontroller(PIC or Atmel, I don't know yet). The thing is how do I connect them, just connect the RXD, TXD of the two chips together? (that's what I am...

Part and Inventory Search

Back
Top