Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Nanda San

  1. N

    How to review the patterns from TetraMax?

    verilog single file format is supported with older versio of TMAX like D 2010.* You can write out verilog reading in the stil test vector from TMAX. The written out verilog will be inclusive of the test patterns.
  2. N

    how to report peak power using PTPX ?

    how to report peak power using PTPX and obtain histogram of the same? ~Nandasan
  3. N

    efficient waveform debugger

    Hi, Can somebody suggest a waveform debugger which can handle data of around 10 GB.. at least I'm using dve where I'm having a really tough time handling huge databases . . even with 10 CPU machines ~Nandasan
  4. N

    Lock-up Latch Question

    Hi Vamsi, Couldn't buy this statement ... "In scan shift mode all the flops in the design are triggered by single clock" Please explain.
  5. N

    [Library] Standard Cell Library dependt

    StdCell libraries may be foundry specific as well as company specific .. The StdCell (gates) are designed by several companies for their own usage .. but the devices being used ( pmos/nmos transistors ) to draw the schematics & layouts eventually come from the foundry always . . Different...
  6. N

    DFT methodology

    What methodology do you adopt when you have an IP with scan (no compression) and you have to integrate this with the top level scan logic using compression and OCC ?? What is the best way to go about converging on the compression ratio in this case ?? ~Nandasan
  7. N

    How to choose the width and length for the digital logic design?

    Re: Digital logic design You need to decide the width depending upon the correlation between the rise, time fall time and propagation delay of the inverter for multiple drive strengths. You should be narrowing down the margin between rise and fall time .. ( difference if any should be in order...
  8. N

    What delays are calculated using WLM model?

    Re: WLM: Transition or Propagation Delay The tool shall pick up the transition delay values from the .lib model
  9. N

    Lock-up Latch Question

    I think if you have negative edge flops talking to positive edge ones, then lockup latches should be automatically inserted by the synthesizing tool to prevent data shift through both the flops in one clock cycle. This will precisely prevent the hold violation the capture flop.
  10. N

    scan chain test fails

    Are you using two different sessions for scan shift and scan capture in STA ?? You must be using a case analysis on the scan enable accordingly?? There may be multiple reason that its failing... Check the clock waveform timing first in STA session using report_clocks .. compare the duty cycle...
  11. N

    ASIC memory compilers

    Who is the memory vendor??
  12. N

    Which mix-library (HVt and LVt) synthesis is better?

    Re: [High-Vt, Low-Vt] Mix library synthesis -> what approach is better? You need to decide the trick of the trade depending on your requirements. If you highly leaky design better go for all HVT and if you have a timing critical design where you can compromise a bit on the leakage go for LVT...
  13. N

    target and link library

    When you're linking your design after compilation & elaboration DC uses the link library which being empty in first case Errors out. Don't you have any StdCells that you're saying that you need only 1 lib here. Target lib will mainly comprise of StdCells . Link lib will comprise of structural...
  14. N

    What delays are calculated using WLM model?

    Re: WLM: Transition or Propagation Delay NLDM models are dumb with respect to timing. Mainly used in crude synthesis . use should use ccs models for timing instead. Wire load model will give you the net delays and gate delays from which the tool would derive the stage delays... Transition...
  15. N

    System verilog `include problem in vcs

    you are passing a file attribute to +INCDIR+ which is not true. use the directory instead. +incdir+../lib ~Nandasan

Part and Inventory Search

Back
Top