Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by MZulkarnain Jaranee

  1. M

    State Conductor for Asynchronous Pipeline

    hehe... :-D I'm not an engineer.. I'm more to programmer at high level language. Debugging code at higher languages like java, and python much easier.. I thought VHDL is like any other programming languages that I learned before, that why I took the subject this semester... After this project, I...
  2. M

    State Conductor for Asynchronous Pipeline

    Dear Ads-EE, Need your reply as soon as possible as I'm near to deadline.. Now I'm stuck.. Still error happen even I swapped the full_empty value...
  3. M

    State Conductor for Asynchronous Pipeline

    Hi, Does you mean change this code if full_empty = "10" then NEXT_STATE <= S1; into this if full_empty = "01" then NEXT_STATE <= S1; ?? I changed it but same error happen.. Furthermore, does it compulsory for me to do the simulation in ModelSim?
  4. M

    State Conductor for Asynchronous Pipeline

    Hi Everyone, I'm making an asynchronous pipeline which mean every stage of pipeline control by local controller, using Quartus 2, written in VHDL language. The problem i'm facing is unversity waveform program shown that the data is not transferred between stages as shown in below images. Below...
  5. M

    [SOLVED] Gasp Controller Asynchronous Pipeline went wrong

    Hi Std_match and ads_ee, thank you for your guidance.. Actually I'm doing my final year project whereby I want to compare mousetrap and gasp pipeline. For mousetrap, I got no issue since its simple, in other hand, Gasp pipeline really give me headache.
  6. M

    [SOLVED] Gasp Controller Asynchronous Pipeline went wrong

    Hi, actually I want to make a controller which will enable the latch. As you can seen on my updated code below, the signal en will take the output from w AND x to enable the latch. After that, w and x will fetch the en. For example, initially, let say the w and x values start at 1, the en will...
  7. M

    [SOLVED] Gasp Controller Asynchronous Pipeline went wrong

    Problem in Gasp Pipeline Controller in VHDL Hi everyone, I'm working on the Gasp Controller as shown within the red area in the image attachment 111734. I did the code but the problem is the result didn't display as what show in the below image. Could anyone please advice/assist on this...

Part and Inventory Search

Back
Top