Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by Ms.Friday

  1. M

    Help fixing problems in the vhdl Sudoku code

    ^ how can I identify the array size I did this because that what I knew from the internet + Im confused do I use vector or no need cuz its a 1 integer
  2. M

    Help fixing problems in the vhdl Sudoku code

    Re: Help fixing problems in the vhdl code ^ thanks I'll try and I hope that it will work with me
  3. M

    Help fixing problems in the vhdl Sudoku code

    Re: Help fixing problems in the vhdl code ^ So you advice me to do an FSM for the code and from the fsm I write the code , correct? + another qs is my array implementation correct?
  4. M

    Help fixing problems in the vhdl Sudoku code

    Hello, I chose a Sudoku code to implement it under Cyclone II - FPGA I'm converting this java code to vhdl: public static void initializeBoard() { int counter = 0; board = new int[9][9]; allowedSets = new int [9][9]; // Since no moves have...

Part and Inventory Search

Back
Top