Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by me0414013

  1. M

    integer range conversion.???

    actually I am trying convert verilog code to vhdl... and the module is instantiated by other modules.. while simulating verilog code its not showing any simulation error and the counter is going upto 100. but when i converted the same module into vhdl then when the count value reach 87...
  2. M

    integer range conversion.???

    function my_integer (constant A:unsigned (4 downto 0)) return integer is variable B : integer range 0 to 23; begin B := to_integer(A); return B; end; but again I am getting the same error while simulating... I want a memory depth of 24 only.. how would i ?
  3. M

    integer range conversion.???

    inputs and signals: sig_word : in unsigned( 23 downto 0 ); signal sig_data : std_logic; signal sig_counter : unsigned( 4 downto 0 ); sig_data <= sig_word(to_integer(sig_counter) ); Iam getting the warning in the above line of the code. since sig_word expects a range upto 23. but...
  4. M

    [SOLVED] infering latches in case statement??

    i did that too where ever it is needed
  5. M

    [SOLVED] infering latches in case statement??

    i have no idea why i am getting latch infer warnings in this code below and i made sure that all cases covered including default case. library ieee; library work; use ieee.std_logic_1164.all; use ieee.std_logic_misc.all; use ieee.numeric_std.all; entity freqdomaintrainingseqmem is port (...
  6. M

    Regarding simulation warnings in modelsim and uninitialized variables

    power up state???? can u tell more about it?? i couldn't get
  7. M

    Regarding simulation warnings in modelsim and uninitialized variables

    While simulating my vhdl code in modelsim. I am getting some warning like ----------------------------------- # ** Warning: NUMERIC_STD."/=": metavalue detected, returning TRUE # Time: 0 ps Iteration: 0 Instance: /---/--/--/-- ------------------------------------- And I am getting the...
  8. M

    difference between IOS and BONDED IOS in vhdl synthesis?

    hi... what is the difference between IOS and BONDED IOS in vhdl synthesis. thanks in advance
  9. M

    how to make xilinx ise libraries visible in questa simulator library???

    hi.. while simulating a vhdl entity using verilog testbench using questa simulator i got the following error ---------------------------------------------------------------------- # ** Error: (vsim-3043) /home/lma/lma-verilog/ca/questa/states_chain_test.v(728): Unresolved reference to...
  10. M

    [SOLVED] hi whats the meaning of the statement "assign c=(a>b);" in verilog????

    Re: hi whats the meaning of the statement "assign c=(a>b);" in verilog???? thanks a lot
  11. M

    [SOLVED] hi whats the meaning of the statement "assign c=(a>b);" in verilog????

    if a>b it assigns a to c,if not it assigns to b. is that all. is it same as "assign c=(a>b)? a:b;" can u please suggest a good book on verilog?
  12. M

    montgeomary multiplier

    systolic implementation available on the net try using the search terms "systolic implementation of montgomery modular multiplier" or in opencores.org as our friend "tricky...," said
  13. M

    verilog to vhdl conversion?????

    thanks what about registers, how to interpret that which will be converted as signals or variables????

Part and Inventory Search

Back
Top