Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by mdreus

  1. M

    modulo operator in vhdl

    Is there another way to do it? std logic vector does not work
  2. M

    modulo operator in vhdl

    I want to do a calculation of the mod operator in vhdl for modules (2^n)-1, (2^n)+1 and for modules (2^n)+k and (2^n)-k for k=3, 5, 11, 21, 85, 341, etc. The operations would be f = (X*Y) mod ((2^n)-1) for example. For example for n=8 bits it worked, but for bits equal to or above 16 bits it...
  3. M

    How to plot C-V characteristics of NMOS transistor in simulators

    Did you make it? how to plot c-v nmos in cadence virtuoso?
  4. M

    Cadence - Virtuoso

    Can someone help me? I'm doing a layout in Cadence's virtuoso and DRC is giving this error Does anyone know how to resolve please?

Part and Inventory Search

Back
Top