Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by lanfeust129

  1. L

    WARNING:Xst:2677 - VHDL

    Found it... Yes it was at a higher level in the moore state machine... Sorry about it :-?
  2. L

    WARNING:Xst:2677 - VHDL

    Hello, I've got a problem with my VHDL code. The warnings are : WARNING:Xst:2677 - Node <half_sync_entries_2> of sequential type is unconnected in block <synchronisation_top>. WARNING:Xst:2677 - Node <half_sync_entries_3> of sequential type is unconnected in block <synchronisation_top>...

Part and Inventory Search

Back
Top