Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by K-J

  1. K

    [SOLVED] Best practice for ommision of signals between synthesis and simulation

    My recommendation is to not make a top level that is different between synthesis and simulation. Without an accurate simulation model of reality, then debug of real world problems can only be done on hardware which is not efficient. What is the problem with creating a simulation model for a...
  2. K

    How can i simulate this vhdl code?

    Start by fixing all of the syntax errors, illegal identifiers, and possible infinite loops that the tool has identified for you. Kevin
  3. K

    modelsim: change the vsim.wlf location

    Add "-wlf <filename>" to the vsim command to specify the .wlf file location. Type "vsim -help" to see a list of all the options that are available. Or type "vsim" and it will pull up the GUI which lets you specify the various options. Kevin Jennings
  4. K

    How to determine fpga resource usage per component and per process

    Quartus will break down resource usage to the entity level. Peruse the fitter report. Kevin Jennings
  5. K

    How to calculate maximum possible parallel data transfer rate for 2 FPGAs?

    The maximum rate will have more to do with other decisions that you've already apparently made rather than PCB effects. Some things that you appear to have decided that will hinder high throughput: - A parallel bus rather than a SERDES interface - Not using a source synchronous interface to...
  6. K

    Width of result vector in VHDL

    The people to answer the question of 'Why' would be the ones who created the numeric_std library...which was released 23 years ago. They have probably long since moved on. Consider using the fixed point package instead which does extend bits as you are expecting them. Kevin Jennings
  7. K

    Efficiently comparing 64bit values

    To compare numbers you simply use the less than operator (i.e. if a < b then...). If that approach meets your timing requirements, then you're done. Don't make it harder than it needs to be. If performance is not met then break the comparison up into a comparison of two 32 bit numbers where...
  8. K

    Constraining a design with "pass through" signals

    My first choice would be have the board route the clock and data to both the FPGA for input and whatever the other device is that needs to receive the signals. That is the best way to preserve whatever timing relationship that currently exists. I'm assuming that the FPGA has some actual need...
  9. K

    Constraining a design with "pass through" signals

    I don't think so since there is no relationship in the FPGA design between IN_CLOCK and IN_CONTROL. The tools should probably generate a warning saying that the constraints you listed were ignored. Kevin Jennings
  10. K

    Synthesis tool - meeting hold time constrains

    I'm not sure, but I don't think the tool will do anything. If you have a hold time issue, the problem is with your design because you have most likely used an internally generated clock based on logic or you have a clock domain crossing. If you use a synchronous design technique rather than...
  11. K

    [SOLVED] String signal on testbench

    The 'report' statement is what you would use. While 'report' is typically part of an assert, it can standalone as well. The only drawback there is that report on it's own must be used within a process while assert can be concurrent. As for converting the state to a text string, you would...
  12. K

    Using .mif files for simulation

    read_address likely has unknown bit or bits. Either that or it's something that you haven't posted about here. Kevin Jennings
  13. K

    [SOLVED] What is the shortest way to assigned unconstrained array to constrained array in VHDL

    To simply accomplish the function, then the VHDL-2008 functions are the way to go as TrickyDicky posted. From the 'provided me with a way to practice certain aspects of my VHDL skills' perspective, I would make the following suggestion: - Make your functions work with any size vector. That...
  14. K

    [SOLVED] What is the shortest way to assigned unconstrained array to constrained array in VHDL

    This suggests that slv is not defined correctly. It should be defined as a four bit vector in the interface rather than as an unconstrained vector. Kevin Jennings
  15. K

    What is the application of the 'image and 'value attribute in VHDL?

    For constructing the name of a file to read or write from the testbench But there are packages that do exactly that After you've read in something from a text file that you would like to use in your testbench. Using image in report statements is probably the most common usage. Although not...

Part and Inventory Search

Back
Top