Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jihadmoussa

  1. J

    Huffman codes in vhdl

    thank you zorro I need to implement booth a and b. but I don't know how to create the tree for the alphabet of the data. the tree for each data must be constructed depending on the frequencies of the alphabets in each data . as I think. can you advice me. thanks
  2. J

    Huffman codes in vhdl

    no not at all I found 2 ways to generate Huffman code 1- generate the tree like its mentioned in : Huffman Coding « anupom.toString( ); but this as I imagine consume a lot of CLK cycles and a lot of RAMs because we cant insert data in the ram . 2- to start with setting code length...
  3. J

    Huffman codes in vhdl

    Hello I need to generate a Huffman codes for symbols (bytes) based on Huffman tree. but I cant find a way that I can implement by fpga. Can any one help me with an algorithm that can generate a Huffman codes. Thanks
  4. J

    ALM vs ALUT for project size

    Hello Can you help me please! What is the difference between ALM and ALUT? If the project has a 100 ALUTs ,how many ALMs does it have? Thanks
  5. J

    [SOLVED] Small problem with bidirectional ports in a code

    Hi I have problem with bidirectional port. when I compile the following code I got an error message: " string literal has a character 'z' not in the enumeration type" signal dat : inout std_logic_vector(3 downto 0); signal s_dat_is_output : std_logic; signal s_dat...
  6. J

    Looking for materials about DCT and IDCT on FPGA

    Re: Dct and idct on FPGA there are a lot of materials at the net but it will put you in an endless maze of formulas. the article of jpeg at wekipedia (JPEG - Wikipedia, the free encyclopedia) is helpful . you can follow the steps as its explained. but instead of applying 2d dct formula it will...
  7. J

    DCT and IDCT on FPGA for image compression

    I dealt wit the dct and the idct signals as integers a *** algorithm is : dct : **broken link removed** idct: **broken link removed** I hope this will help ---------- Post added at 12:13 ---------- Previous post was at 12:12 ---------- sorry a good algorithm is
  8. J

    Verilog Help (quote mark)

    Thank you very much. you were really helpful. thanks again.
  9. J

    Verilog Help (quote mark)

    can somebody please explain the following assign for me: bit_counter <= `COMMAND_BITS; I couldn't know what does the quote mark do? thank

Part and Inventory Search

Back
Top