Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Looking for materials about DCT and IDCT on FPGA

Status
Not open for further replies.

dll_fpga

Full Member level 3
Joined
Mar 9, 2011
Messages
185
Helped
19
Reputation
38
Reaction score
21
Trophy points
1,298
Location
kerala,India
Activity points
2,416
thanks moussa.....do you have anymore materials dealing with DCT IDCT?
 

Re: Dct and idct on FPGA

there are a lot of materials at the net but it will put you in an endless maze of formulas.

the article of jpeg at wekipedia (JPEG - Wikipedia, the free encyclopedia) is helpful .
you can follow the steps as its explained.
but instead of applying 2d dct formula it will be easier to apply the 1d dct formula twice
the first for the rows.
the second for the columns of the 1st dct result (or the opposite) .
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top