Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by jaromirkolouch

  1. J

    Assignments in SystemVerilog always block

    Thank again. I supposed the rules of Verilog remain valid in SV but i wonder that the mentioned experienced and well-known designer and SV textbook author does the mix in his book without commenting or explanation.
  2. J

    How to load synthesizable vhdl code into virtex-II pro fpga board? Reply. Urgent

    For the download into a fabricated board, you need at least a file like *.ucf with pin assignment, of course together with the VHDL code file. Usually, ucf file is included in the documentation of the board if this is a kit bought from some vendor. If it is your own board, then you need to...
  3. J

    Assignments in SystemVerilog always block

    Thank for reply. I am, of course, aware of the always_ff, always_latch and always_comb blocks (maybe, I have had to write it in my question but I supposed it is obvious). Nevertheless, there is no answer to my question in the link.
  4. J

    Assignments in SystemVerilog always block

    In Verilog, a commonly known rule states that in always blocks, only blocking or only nonblocking assignments should be used, not a mix in one block. Could anybody tell whether a similar rule is valid in SystemVerilog? I have seen worked-out designs of an experienced designer with a mixture of...

Part and Inventory Search

Back
Top