Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by ishailesh

  1. ishailesh

    PRBS Generator - VHDL Implementation

    Thanks @FvM and @TrickyDicky for your useful advice. It worked. Here i am posting a snapshot of prbs My modified code is -- Engineer: Shailesh Singh -- Module Name: prbs -- Project Name: modulator -- Description: --To make it of N bit replace existing value of N with desired value...
  2. ishailesh

    PRBS Generator - VHDL Implementation

    I assigned these signals some default value. Still error remains same
  3. ishailesh

    PRBS Generator - VHDL Implementation

    I am trying to implement a PRBS generator as show in diagram. My top level module is library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in...
  4. ishailesh

    Interrupt Based Xilinx EDK Project Tutorial

    Can anybody here provide me with a tutorial which explains a simple interrupt based xilinx EDK project. Thanks and Regards
  5. ishailesh

    How to make a stepper work in closed loop

    Hey please take a look at the code and tell why i am unable to control the precise position of stepper. It keeps on running. I want that depending upon the data received through UART it should rotate to a precise degree. #include "xparameters.h" #include "xgpio.h" #include "stdio.h" #include...
  6. ishailesh

    How to make a stepper work in closed loop

    I have written code for a PID controller but i dont think it will match my requirements. Here is the code anyway. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ----...
  7. ishailesh

    How to make a stepper work in closed loop

    Hey I did take at a look at control loops. PID controller works when you have a set point as well as a measured process variable. It works by minimising the error between two. The control block takes the error signal i.e. difference between set point and measured point. But in my case its not...
  8. ishailesh

    How to make a stepper work in closed loop

    I am trying to control the position and angle of a surface which is attached with Stepper Motor as like in Goniometers. How using PID this can be achieved?? Yes it indeed works in closed loop.There are some more blocks in the system.(Position of the surface will provide some stimuli to those...
  9. ishailesh

    Electomagnetic waves frequency and distance travelling relation

    Radio waves at different frequencies propagate in different ways. In free space, all electromagnetic waves (radio, light, X-rays, etc.) obey the inverse-square law which states that the power density of an electromagnetic wave is proportional to the inverse of the square of the distance from a...
  10. ishailesh

    How to make a stepper work in closed loop

    Re: Stepper in Closed Loop Well that's true for sure. But please take a look at problem in hand. Here FPGA should take data automatically from ADC and depending upon the data received it should provide the required number of pulses to the MOTOR and all this run continously that is it would...
  11. ishailesh

    How to make a stepper work in closed loop

    Hey all! I am driving a stepper motor through FPGA ( Xilinx Virtex 2 Pro Board) Here i have given some stepping sequences to it and it keep on running continuously. Now i want that it should take some digital data and depending upon the data received it should rotate to some degree and stop...
  12. ishailesh

    Driving a Stepper from FPGA

    Thanks for your Suggestions. I did slowed down my clock using a dcm module (from 100MHz to 6.25Mhz). But still i am not sure how at what frequency my output will be. Since there must be a definite time involved in compilation and execution of code. So please help in the same. P.S. Previously i...
  13. ishailesh

    Driving a Stepper from FPGA

    Hey all Mine board is Virtex2Pro. I want to drive a stepper motor from FPGA. I am using L293D as the driver circuit. So for that i designed a state machine which changes its output on each rising edge of the clock. The Clock Speed is 100MHz (Internal Clock). But somehow i am not getting the...
  14. ishailesh

    High efficiency 250W SMPS design

    Hey! Please look into the following document. https://ww1.microchip.com/downloads/en/AppNotes/01114A.pdf
  15. ishailesh

    circuit view of a vhdl code

    When designing digital integrated circuits with a hardware description language, the designs are usually engineered at a higher level of abstraction than transistor level (logic families) or logic gate level. In HDLs the designer declares the registers, and describes the combination logic by...

Part and Inventory Search

Back
Top