Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hastnagri

  1. H

    conversion error please help?????

    conversion gives error code is stdtst.vhd library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package intest is subtype bits is std_logic_vector(7 downto 0); function bconv(bits: std_logic_vector) return integer; end package intest; package body intest is function...
  2. H

    conversion from bit_vector to integer problem

    if u r talking about the bit'pos() function so it is builtin and use to find the bit position.i have solve the problem by removing the variable temp: bit_vector(bits'range); but the other problem raised during simulation. and that is b takes the initial value from the component "alu" is driving...
  3. H

    alu design problem please help

    trickydicky please clear me... i put it to just enable the case and then lda or add must have an op code to choose statement
  4. H

    conversion from bit_vector to integer problem

    i have written code in vhdl for conversion of bit_vector to integer but it always gives me 0 when simulate in modelsim. code for the package is alupack.vhd library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; package aludgn is function...
  5. H

    alu design problem please help

    i am using std_logic_vectors sorry it is not bit_vector
  6. H

    alu design problem please help

    i am trying to make an alu but i am in initial stage. please help me ; i wrote the alupack.vhd file which gives me no error during compilation. code is here library ieee; use ieee.std_logic_1164.all; package alu_types is subtype bits_32 is std_logic_vector(31 downto 0); ---type bit_array is...

Part and Inventory Search

Back
Top