Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by hasitri

  1. H

    Autocad DXF generation in Altium 09

    Got that. Thanks loosemoose!!! But, what is the logic behind this?
  2. H

    Autocad DXF generation in Altium 09

    Hi, To generate a DXF/DWG out of Pcbdoc, I am using File -> Save As and generating a DXF. Unfortunately I am unable to generate any polygon fills in the PCB. Means, in the generated DXF, I can see the boundary line of a fill but not the complete solid fill. Any thoughts? Thanks, Trinath
  3. H

    Looking for SD 2.0 host controller specifications

    sd specification 2.0 You can get the spec from sd web site **broken link removed**
  4. H

    USB communication - usb device on Up3 board

    usb communication by usb 1) Find out UP3 reference manual and find pin configuration of the USB device. Altera uses FTDI(company name) USB FIFO usually. I am not sure with UP3 2) Check the USB device number and read its data sheet. Just follow the timing requirements to create a state machine...
  5. H

    why PLLs are placed at boundary of FPGA?

    Dear friends, why PLLs are placed at boundary of FPGA? Why there are often many number of PLLs on an FPGA? thanks ~Trinath
  6. H

    JANE Neuron Emulator Project

    its neural network emulator's VHDL code
  7. H

    JANE Neuron Emulator Project

    JANE VLSI design final Project Suresh kumar Devanathan (C) Rutgers University
  8. H

    need VHDL code for 16 bit BCD counter

    16 bit counter implementation in fpga yeah thanks i got that point.
  9. H

    need VHDL code for 16 bit BCD counter

    16 bit program counter .hdl thanks again nand_gates can u tell me why the code gives error widout process statement?
  10. H

    need VHDL code for 16 bit BCD counter

    vhdl code for bcd counter frns...... i converted the code into VHDL. but couldnt compile because of errors the errors are Error (10500): VHDL syntax error at BCD_16.vhd(14) near text "if"; expecting "end", or "(", or an identifier ("if" is a reserved keyword), or a concurrent statement Error...
  11. H

    need VHDL code for 16 bit BCD counter

    16 bit counter vhdl can any body help me........................
  12. H

    flag settings of 8085

    the logical grp instructions of 8085 have a different flag setting AND : Cy = 0 & AF = 1 OR & XOR : Cy=0 & AF=0 is there any significance of this setting?
  13. H

    microcontroller(80c51 based moving pattern display using LCD

    Re: microcontroller(80c51 based moving pattern display using go through the book "customizing 8051 microcontroller"
  14. H

    Books about h,T parameters and small signal AC analysis of BJT

    anybody suggest me the best book for h,T parameters and small signal ac analysis of BJT
  15. H

    why bjt transistor is called current operated device

    infact a bjt is called as current controlled device. output current (Ic) is controlled by input cuurent(Ib) by a factor β consider a base resistor baising circuit (Re = 0) i/p side: Ib = (Vcc - Vbe) / Rb Ib is a function of Rb in math terms in this equation, both Vcc n Vbe are...

Part and Inventory Search

Back
Top