Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by firewire2035

  1. F

    DC synthesis result violates design rules constraint

    most design rule violations can be fixed in the backend. but your violations can be a indication with the quality of your synthesis, such as dont_touch_network is creeping into your datapaths.
  2. F

    Difference between Buffer and clock Buffer

    clock drivers have better slew, drive capability, and higher power consumption. A clock buffer will usually have better balance with rise and fall time. Best to check your datasheet.
  3. F

    Looking for documents about RTL design tips

    RTL design as a naming convention, never use z at the end of a variable. z may be reserved for special driving type.
  4. F

    How to fix the design rule violations in clock tree synthesis using Encounter?

    cts i dont use astro, but the ability to generate cts reports should be similar. violations should show in the beginning.
  5. F

    Design Mismatch after synthesis

    synthesis is pretty reliable now, unless your coding style is really poor. chances are your gate level simulation setup is not correct, it may be either reset or time step related.
  6. F

    Question about density in physical design

    Reg: Physical Design 80% is pushing it. If you want to reduce the density by 5%, then most likely it would require changes in design architecture. Neither synthesis nor floorplanning can reduce the density by that much, unless the implementation is drastically wrong.
  7. F

    Questions about hold time violations

    Reg: Hold time 1.. data path, but you have to minimize clock skew in the backend. 2. hold time problem will show up on reg to reg on a very sort data path, due to clock skew. 3. minimize your clock skew, review your clock uncertainty specification. *analyze* your timing report, not just...
  8. F

    list hold time violation and corresponding resolution

    hold time will not be addressed until after CTS.
  9. F

    Looking for info about test coverages

    Test Coverage code, or line, coverage is old school. The draw back is it could hide untested variables, i.e. an OR condition. For functional coverage, google specman, coverage groups, metric, table, etc. One place to start is to look at set_case_analysis in your synthesis scripts, which give...
  10. F

    Reg: victim and aggressor net

    agreesor.net i can answer a few of your questions: :: how to see the untested path in PT... it means false path ? in encounter, which sounds like you have, do "report_timing -unconstrained :: what we will see in glitch analysis and noise analysis report? encounter will perform clock gating...
  11. F

    The difference between instance and cell

    Instance and cell many instances (like user names) can be reference to one cell (person). this should be clear to you now.
  12. F

    The difference between instance and cell

    Instance and cell only instances can be generated by uniquify.

Part and Inventory Search

Back
Top