Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by esielec

  1. E

    has multiple drivers due to the non-tri-state driver

    my code is: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity mishee is port(clk,rst:in std_logic; bw:in std_logic ; rcvim:out std_logic; rma:in std_logic_vector(4 downto 0); test:out...
  2. E

    warning in quartus About pin

    I changed the code. I can not but lead output It(test<=CONV_INT2STDLV(circlex(0),8);) was clear when I synthesis code!!!! library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity migmig is port(clk,rst:in...
  3. E

    warning in quartus About pin

    Once the clear line that only one percent of IC which is used cylcon??
  4. E

    warning in quartus About pin

    my codlibrary ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity ghj is port(clk,rst:in std_logic; bw:in std_logic ; -- a:out std_logic ; -- test:out integer range 0 to 105; g:out std_logic_vector(6...
  5. E

    warning in quartus About pin

    hello, warning in quartus Makes the synthesis of a few hours last?????!!!!! What should I do?please help me
  6. E

    problem in vhdl test bench cod

    hello, Why the following testbench code in the first clock d1, d2 are value And the second clock a, b library IEEE; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; use IEEE.MATH_REAL.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use...
  7. E

    problem in vhdl test bench code

    hello, Why the following testbench code in the first clock d1, d2 are value And the second clock a, b library IEEE; use ieee.std_logic_1164.all; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; use IEEE.MATH_REAL.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_TEXTIO.ALL...
  8. E

    [MOVED] Image processing and VHDL

    Re: Image processing and VHDL You must first create a text file example:It was a image of the text file conversion,in matlab: [sourcepic,phatsource]=uigetfile(('*.jpg;*.tif;*.png;*.gif;*.bmp'),'select a picture'); A=imread([phatsource sourcepic]); B=rgb2gray(A); % B=edgeim; disp('Image file...
  9. E

    [SOLVED] Fatal error in modelsim

    thank you. It should look for other methods of image processing to be Fpga:thumbsup:
  10. E

    [SOLVED] Fatal error in modelsim

    It was true. But since the code was first written synthesis?:bang:
  11. E

    [SOLVED] Fatal error in modelsim

    i am changing. variable row:integer range 0 to 177:=0; variable clm:integer range 0 to 287:=0; but It still can not synthesized.I do not know what's the problem.When I synthesize the code. Synthesis and any error would not have stopped 46% my code: library ieee; use...
  12. E

    [SOLVED] Fatal error in modelsim

    if(row<177)then if(clm<287)then i am changing but it not synthesis.it stop in 46 - - - Updated - - - if(row<177)then if(clm<287)then i am changing but it not syntesis. it stop 46%
  13. E

    [SOLVED] Fatal error in modelsim

    help me please my code: library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity detectsphere is port(clk:in std_logic; bw,rst:in std_logic; a,wr:out std_logic ); end; architecture detect of detectsphere is type im1x is array(0 to 286) of std_logic...

Part and Inventory Search

Back
Top