Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by bob2987

  1. B

    Create ground plane multi layers in Eagle

    Hi, as you can see on the image there is a red area which is between 2 wires. This area is connected to anything. My GND plane is the third one. I did this to prevent to the crosstalk between the 2 signals. Thank you.
  2. B

    Create ground plane multi layers in Eagle

    Hi, I would like to create a ground plane between 2 wires (on the top), i have a layer for the ground and i would like to associate this ground plane on the top to the ground layer. When i changed the the name of the ground plane (GND) , it dissapears, i thought about a via to change the layer...
  3. B

    software for electrical simulation of a design circuit

    Hello, In my firm we are thinking to buy a software for electrical simulation. We do analog circuit. Our choice are between PROTEUS, LTSPICE, ELDO. Anyone can talk about his experience about theses softwares. Thank you.
  4. B

    Signals and variables in VHDL

    Hi, I try to understand this programm ---------------------------------------------------------------------------------------------------------------- -- XOR_SIG.VHD -- May 2001 Library IEEE; use IEEE.std_logic_1164.all; entity xor_sig is port (A, B, C: in STD_LOGIC; X, Y: out STD_LOGIC)...
  5. B

    vhdl process values of signals

    Thank you ads-ee because in a pdf document i have seen other results which are: After 1 clock cycle a=1;b=2;c=10;d=10; After 2 clock cycle a=1;b=10;c=10;d=10; After 3 clock cycle a=10;b=10;c=10;d=10; But i think it's false here.
  6. B

    vhdl process values of signals

    Thank you ! But is it correct to say ? : After 1 clock cycle a=2;b=3;c=10;d=10; After 2 clock cycle a=3;b=10;c=10;d=10; After 3 clock cycle a=10;b=10;c=10;d=10; Thank you !
  7. B

    vhdl process values of signals

    Hello, I would like to understand this process and what are the values of a,b,c and d steps by steps ? ----------------------------- if clk'event and clk ='1' then a <= b; b <= c; c <= d; end if; ----------------------------- Imagine, we choose a=1, b=2, c=3, d=10. Thank you !
  8. B

    problem with my code: frequency divider

    Hi all! I would like to create a ferquency_divider i write this code but it doesn't work, if anyone find a error. Thank you. ----------------------------------------------------------------------- library ieee; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use...
  9. B

    error in my VHDL Code (microprocessor 16 bits)

    Sure TrickyDicky , it's an advantage. Now, i have to fix my problem of the module MAR.vhd. If anyone find an error in my code. Thank you.
  10. B

    error in my VHDL Code (microprocessor 16 bits)

    Ok thank you but it's strange that i have a good comportement when the module MAR is independant and a bad comportement when it is assembly with the same stimulus.
  11. B

    error in my VHDL Code (microprocessor 16 bits)

    This is my MAR.vhd library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity MAR is Port ( ld : in STD_LOGIC; RAZ : in STD_LOGIC; clk : in STD_LOGIC; D : in STD_LOGIC_VECTOR (12 downto 0); Q : out STD_LOGIC_VECTOR (12 downto 0)); end MAR; architecture...
  12. B

    error in my VHDL Code (microprocessor 16 bits)

    Thank you, so it's not a big problem with the warnings. I check the RTL schematic , the connections with signals are correct.
  13. B

    error in my VHDL Code (microprocessor 16 bits)

    I have problem in the simulation. My goal is to see if my microprocessor works, i check if the adress memeory is read by the memory but unfortunately it is not the correct adress in input of the memory. - - - Updated - - - I have also this kind of warning WARNING: Simulation object...
  14. B

    error in my VHDL Code (microprocessor 16 bits)

    Thank you. I add an output (output_memory ) but unfortunately it changes nothing. How can i do ?
  15. B

    error in my VHDL Code (microprocessor 16 bits)

    Is it a problem if a module have no output? I have to create an output? But I don't know which output.

Part and Inventory Search

Back
Top