Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by alphus

  1. A

    port CLASS BUMP in output LEF

    If IO cell is placed in IP, but BUMP cells are added later by the IP consumer. Thus, IP consumer get an HARD MACRO with 'class bump' attribute on some pins.
  2. A

    port CLASS BUMP in output LEF

    I mean that in the version of LEF 5.7 or greater, port CLASS attribute can be set equal to {CORE | BUMP}. From "LEF/DEF Language Reference": "BUMP—Specifies the port is a bump connection point. A bump port should only be connected by routing to a bump (normally a MACRO CLASS COVER BUMP cell)"...
  3. A

    port CLASS BUMP in output LEF

    Hi all, I'm implementing IP using Flip-Chip floorplan, so several IO ports must be connected to bumps. How to set attribute CLASS BUMP in LEF file for several IO ports when writing out LEF (not by hand)? Now this attribute is "CORE" by default. Tool - cadence Innovus 17.1.
  4. A

    Hierarchical rail IR drop analysis in Encounter/Voltus

    Hello, i have a project in Cadence Encounter with hierarchical blocks, where full-chip IR-drop analysis is needed. For each hierarchical block i have .LEF, .DEF files and current files (.ptiavg). I generated the hierarchical PGV (HPGV) for each block in the design. Design has a feature - global...
  5. A

    Boundary Scan insertion without PADs

    Hello, i need to use cadence RC compiler for boundary scan insertion with separate TAP-controller in verilog module. Design does not contain any PAD logic (this is part of SoC). Can anybody tell me, it is possible to automatically insert boundary scan logic without PADs instantiation?
  6. A

    Clock gating cells delays in post-map simulation

    I made a Place&Route in Encounter and got netlist+SDF for simulation. Possibly, for simulation of design with gated and multiplexed clocks needs an Clock Tree building, i.e. post-map simulation is not required.. I think, solution is place&route or verilog/sdf modification (as mentioned by...
  7. A

    Clock gating cells delays in post-map simulation

    Hi all! I have design with clock multiplexers and clock gating cells. I'm using Cadence RC compiler for synthesis. After synthesis, i need to simulate resulting netlist with SDF file using ncsim. When i run the simulation, i see that clock MUX and gating cell delays are not '0'. How to get an...
  8. A

    USB device on Spartan6 evaluation board

    Hi all, I'm working to implement USB2.0 device on Spartan-6 LX150T development kit. I use on-board PHY (NXP ISP1504A1) with ULPI interface. I also use a USB logic analyser (FS only). In HS, device working stable and is always recognized on host. In full-speed work is unstable, device is often...
  9. A

    [SOLVED] race condition in SPI

    Thank you all for answers! I have successfully synthesized a netlist. I have disable timing check in my 2-FF synchronizer module using cadence timing file (ncelab -tfile). Simulation netlist completed successfully.
  10. A

    [SOLVED] race condition in SPI

    No, i'm don't use a data signal as a clock. spi_clk is interface signal (SPI_CLK). Now i'm trying to use 2-FF synchroniser. First flip-flop transmits X to second flip-flop. My synchroniser: always@(posedge clk) begin flop0 <= spi_clk; flop1<= flop0; end I'm using ncSim. Is it a...
  11. A

    [SOLVED] race condition in SPI

    //synchronize async input (SPI_CLK) with system clock always@(posedge clk) spi_clk_r <=spi_clk; //save the value to generate pulse always@(posedge clk) spi_clk_prev <= spi_clk_r; //and detect rising edge of synchronized spi_clk (pulse). //it used for data capturing assign rising_spi_clk...
  12. A

    [SOLVED] race condition in SPI

    I want detect rising edge of SPI_SCK, but when SPI_CLK and system_clock edges occur simultaneously data corrupted. The same thing happens with signal SPI_SS.
  13. A

    [SOLVED] race condition in SPI

    Hello. I'm trying to synthesize the SPI slave in RC Compiler. After synthesis occurs race conditions between SPI_CLK and system clock (clk): always @(posedge clk) spi_clk_r <= spi_clk; How to avoid the race conditions in this case?

Part and Inventory Search

Back
Top