Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Recent content by aara

  1. A

    read an image in vhdl

    I have changed my code into another way....The input text file i have given is an array ...but i got a single coloumn output in the another text file.....please help to change this code.... library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use...
  2. A

    read an image in vhdl

    yes...i got single zero after simulation in the text file.... This is my full code for read and write library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use ieee.numeric_std.all; use std.textio.all; use std.standard.boolean; --LIBRARY...
  3. A

    How to verify the output of xilinx in matlab

    hi... I read the pixel value of image in vhdl and segmented using threshold value.Now i want to verify it in matlab.I dont know how to do...please help...very urgent
  4. A

    read an image in vhdl

    hi... I read the text file. I want to write it in another text file..I wrote code for that.but it does not works.... This is my code for write the text file process file outfile : text open write_mode is "vit.txt"; variable outline : line; variable temp : natural...
  5. A

    segment the image using VLSI code

    i want to segment the two layers (2 circles)in my image. I read the image using pixel values in modelsim. Now i planned to segment the layers by comparing the pixel values. In that code i got two errors..I dnt know how to proceed and how to view output in the modelsim ...please help... This is...
  6. A

    read an image in vhdl

    These are the errors while simulating my code using modelsim 6.3 # ** Error: D:/x code/Untitled-1.vhd(34): near "open": expecting "<=" or ":=" # ** Error: D:/x code/Untitled-1.vhd(41): (vcom-1136) Unknown identifier "img_file". # ** Error: D:/x code/Untitled-1.vhd(41): (vcom-1136) Unknown...
  7. A

    read an image in vhdl

    I tried with this code...... library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use std.textio.all; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use...
  8. A

    read an image in vhdl

    hi my project is segmentation of carotid artery. Now i want to implement in fpga. For that i have to write code using vhdl. I simulate the code which you posted earlier. IN THAT I GOT ONE ERROR(cannot continue because of fatal error)... please help with this....

Part and Inventory Search

Back
Top