Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

"Xilinx System Generator" outputs used in ISE

Status
Not open for further replies.

Hadi-Alik

Member level 4
Joined
Jun 3, 2006
Messages
76
Helped
9
Reputation
18
Reaction score
9
Trophy points
1,288
Activity points
1,770
system generator ce vhdl

Hi Guys
I'm a beginner in FPGA, but I used Xilinx System Generator to produce VHDL files successfully. Then I used the files in ISE to program my Spartan-3E board. But, the when I open constraint file to edit it, I see some strange port names:

Code:
clk          
clr             
ce              
fakeOutForXst

which are the port name introduced in the first entity of the VHDL file, while the correct port names I need are placed in the middle of the VHDL file:

Code:
active_high_shutdown_reset
ce
clk
clock
from_dce_for_voltage_mult
miso: in std_logic
to_dce_for_observation

Any idea why It happens like this? is it a system generator bug? the file is very big (3600 lines) and I can not manage it by hand!

Cheers
Hadi
 

xilinx system generator

hi.. I am not exactly helping you..but can you please share with me any resourses so that i can get started in FPGA ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top