Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

why we used different modeling to write verilog code

Status
Not open for further replies.

vead

Full Member level 5
Joined
Nov 27, 2011
Messages
285
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,298
Location
india
Activity points
3,815
I know the knowledge of Verilog modeling is very important for verilog coder. we design hardware with different modeling i want to know when we use behavioral and structural modeling
 

There are not specific rules for when to use which modelling. You can use any modelling type as per your needs, or even hybrid modelling too.
Usually we use behavioral modelling for sequential circuits and structural for combinational circuits.
 

usually both are used together, behavioral and structural modeling

What I have understood is structural modelling is more towards hardwired, while behavioral gives ease of use, we can use behavioral for both combinational or sequential design details.

For simulation usually behavioral modeling us used almost at most of the cases, if not all.

Ultimately it does not make any differnce if you use combination of both modelling and usually you will notice you be using both as you get more into it and these terms of modeling will become obsolete to you when designing :D
 

when we design system we use different modeling but what is the limitation of modeling i mean when we can't use behavioral modeling or structural modelling
 

You will come to know about all these things as you get more into it.

All the best!
 

Vead,

It might help to explain what you mean by behavioral and structural modeling, and perhaps give an example. Most people think of behavioral modeling as code that cannot readily be synthesized to an implementation, but it also could mean code that could be synthesized, but the structure is not specified. For example, you could write the equation A* B to multiply two numbers, but that does not indicate how the multiplier is implemented.
 

Vead,

It might help to explain what you mean by behavioral and structural modeling, and perhaps give an example. Most people think of behavioral modeling as code that cannot readily be synthesized to an implementation, but it also could mean code that could be synthesized, but the structure is not specified. For example, you could write the equation A* B to multiply two numbers, but that does not indicate how the multiplier is implemented.
we can use behavioral modelling for sequential circuits and combinational and structural for combinational circuits
example we can design And gate with behavioral or structure modeling but why we can't use behavioral modeling to design D flip flop
 

you can use behavioural modelling to design a D flip flop. Like this:

always @(posedge clk)
q <= d;

This is technically behavioural.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top