Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

What is block RAM and how to use it in Xilinx devices?

Status
Not open for further replies.

ravindra kalla

Junior Member level 2
Joined
Aug 3, 2005
Messages
24
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,519
CAN ANYBODY TELL ME,HOW TO USE BRAM IN XILINX.
PLEASE SEND ME GOOD REFERENCES.

PLEASE ALSO TELL HOW TO STORE ANY VALUE IN BRAM.
THANKING YOU
HAVE A NICE DAY
 

what is block RAM?

go check the application notes from Xilinx, they're better than anyone's explanation.

just some hints, the easiest way is use coregen to generate block ram clusters and simulation models.
 

what is block RAM?

If you are using XST, then you can use ordinary HDL register arrays, and let the synthesizer infer the block RAM.
See your "XST User Guide" section "HDL Coding Techniques" subsection "RAMs/ROMs".

Please post similar questions to the "FPGA" forum. This is the ASIC forum.


This topic has moved to the FPGA forum:
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top