Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Warning: NUMERIC_STD.TO_INTEGER: metavalue detected

Status
Not open for further replies.

FPGAs

Member level 1
Joined
Dec 22, 2004
Messages
41
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
Shanghai, China
Activity points
306
metavalue in std_logic_vector

Warning: NUMERIC_STD.TO_INTEGER: metavalue detected, returning 0

My modelsim report it, why?
How can i solve it?
plese help me with some idea, thanks a lot!
 

modelsim vhdl warnings numeric_std metavalue

If ur passing std_logic_vector as a parameter to to_integer function and if
ur std_log_vector contains X or Z it will generate the warning you are getting.

To solve this one way is to never allow std_log_vector to contain any other
value than 0 or 1. Or use std_bit_vector!
 

explain to_integer function

Thanks to nand_gates.

I read a memo in Modelsim help, which is
"These messages can be turned off by setting the NumericStdNoWarnings variable to 1 from the command line or in the modelsim.ini file."

I turn off it in modelsim.ini file, but it don't affect, why?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top