Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL + SV in UVM in VCS

Status
Not open for further replies.

nyamars

Newbie level 2
Joined
Nov 12, 2009
Messages
2
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,291
Can anyone please tell me how to compile VHDL (DUT files) and SV files in UVM environment in VCS?
 

3 stages, see vcsmx_ug.pdf for more details
compile:
vhdlan <vhdl design files>
vlogan <verilog design files>
vlogan -ntb_opts uvm (no source files)
vlogan -ntb_opts uvm <sv uvm files>
elaborate:
vcs <top_module>
run:
./simv
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top