Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

[SOLVED] VHDL incomplete if statements in clocked processes

Status
Not open for further replies.

fmaximovic

Junior Member level 2
Joined
Aug 14, 2012
Messages
21
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,439
Hi all,

one of the biggest caveats about VHDL concerns incomplete "if" statements, since they need some "else" clause to prevent the formation of latches (which are bad, I guess). However, incomplete "if" statements are those that open clocked processes, like

Code:
if (CLK'event and CLK='1') then
...
end if;

since they do not comprise any "else" clauses.
I imagine my question is trivial but I can't find an explanation: why can "if" statements be incomplete in clocked processes? Does this hold true for any "if" statement inside a clocked process, included those regarding signals other than "CLK"?

Thank you in advance




I see there is a similar thread here
https://www.edaboard.com/threads/265262/
but I was wondering whether there was the chance to gather some more details/interpretations.
Again, thanks
 
Last edited:

its because a clocked process describes a d-type flipflop. So at the clock level, it would be illegal to have an else in line with the clock.

But inside the clock branch, like I said in the other thread, if statements just equate to clock enables.
 
thanks trickydicky, that's what i was searching
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top