Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

VHDL AMS simple modeling of a buffer, ERROR during compiling

Status
Not open for further replies.

geozog86

Member level 3
Joined
Oct 24, 2010
Messages
54
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,286
Activity points
1,774
Hello!

I want to model a cadence block (analog) and interact with the nearby analog blocks, but with a VHDL model (using VHDL AMS for that reason). The cadence block (cell) has many inputs (pins) but i will only model it as a buffer, output=input. So, i have this code:

Library (......)

ENTITY charge_1 IS

PORT(
TERMINAL SUPPLY3, AVSS : ELECTRICAL; //all the pins of the cadence cell, most are supposed to stay not-connected
TERMINAL ENBL : ELECTRICAL;
TERMINAL VBG, VFDBCK : ELECTRICAL
);

END ENTITY charge_1;

--------------------------------------------------------------------------------

ARCHITECTURE FUNCTIONAL OF charge_1 IS

quantity vsupply across SUPPLY3 to AVSS;
quantity vvfdbck across VFDBCK to AVSS;

BEGIN

vsupplycp==vvfdbck;

END ARCHITECTURE FUNCTIONAL;


And for this simple implementation i got this error:

Error: The characteristic number (0) does not match the number of scalar equations (1) [LRM section
12.6.6]


which online found that implies that i have more equations than numbers i want resolved (which is zero!!!)

So i thought the problem was that i was leaving all the rest of the electrical nodes floating (not using them at all) so i created a signal called dummy1 where i just added the rest (bandgap etc that were not needed for the ideal buffer operation) but error remained.

Anyone has seen this problem? Or do you have any idea why this is not working? Thank you very much!

George
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top