Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

verilog simulation in cadence

Status
Not open for further replies.

swapna julakanti

Newbie level 4
Joined
Aug 27, 2015
Messages
7
Helped
0
Reputation
0
Reaction score
0
Trophy points
1
Activity points
47
guys please help
how to write and simulate verilog code in cadence?
thanks in advance
 

Hi

you can use "ncverilog" for simulation and to see waveform you can use "simvision".

If you need any information please check cadence documents.

thanks
 
Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top