Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

urgent: correct format for bit_vector to std_logic_vector conversion

Status
Not open for further replies.

ranbi

Newbie level 5
Joined
Nov 23, 2011
Messages
10
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,358
i need to convert En to bit_vector to do the srl in vhdl and I need to reconvert back to std_vector_logic for the second operation plz can anyone help me out with the correct syntax:

my part code:

signal En: std_logic_vector (31 downto 0);

En<= En srl 8;

Thanks
 

I would avoid using srl (shift functions) and write them explicit. No need to make a conversion then.
 
  • Like
Reactions: ranbi

    ranbi

    Points: 2
    Helpful Answer Positive Rating
whats wrong with the good old:

en <= x"00" & en(31 downto 8);
 

yeh i ended up doing that i forgot abt doing that before
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top