Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Syntax Problem in VHDL

Status
Not open for further replies.

satty_008

Newbie level 5
Joined
Jan 4, 2013
Messages
8
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,388
Hii , I am an amateur in vhdl.I was referring a UART code and I came across this line
"iCount /= SIZE"
can some one please explain what it means and how it is manipulated?

SIZE is defined as 4 in generic and icount is a signal which ranges from 0 to SIZE
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top