Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

square root in verilog....plz urgent

Status
Not open for further replies.

alangs

Member level 3
Joined
Feb 5, 2010
Messages
57
Helped
3
Reputation
6
Reaction score
3
Trophy points
1,288
Location
india
Activity points
1,681
how to specify suare root of a number or a variable in verilog.....please it very urgent:cry:
 

read the text file on above given link its really nice one... and given logic... you need to just build it in verilog
 

you can create a simple function and than you can recall it
 

hi
if your task is some other,in which you need squareroot as an operator,i prefer ip cores.
 

You can use CORDIC IP core in Xilinx.

More details here:
Code:
http://astro.temple.edu/~silage/CORDIC-SQRT.pdf
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top