Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Simulation a logicore component

Status
Not open for further replies.

quy1001

Junior Member level 2
Joined
May 30, 2006
Messages
20
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,420
vsim-3473 component instance is not bound.

I use Floating-point operator V2.0 in my design.

When I simulation design in Modelsim 6.1b, there is no error, but the ouput of the wave window shows no output.

The logicore component does not work in Modelsim.

What is wrong?

What should I check, is there anything I must modify in libraries ?

Thanks.
 

ise modelsim warning vsim-3473

I already compiled all libraries of XilinxCoreLib by "Compile HDL Simulation Libraries" process.

Also I map these libs. in file "modelsim.ini".

Also I change properties of file ".xco" file in project to be "Synthesis/Imp + Simulation"

But when i simulation my design, still the core does not work.

In modelsim displays like this:

"** Warning: (vsim-3473) Component instance "u0 : wrapped_floating_point_divider" is not bound."

What is wrong ?

:|
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top