Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

simulate examples in designer's guide to verilogAMS

Status
Not open for further replies.

dan_vol

Newbie level 4
Joined
Dec 14, 2010
Messages
7
Helped
1
Reputation
2
Reaction score
1
Trophy points
1,283
Activity points
1,340
After reading the chapter 3 and chapter 4 in this excellent book, I want to simulate some examples. However, I can't make them work on my computer . For example, the VCO in chapter 3,
(1) I make a new cellview with verilogAmsText type, then fill it with the VCO code and make a symbol.
(2) After that, build a testbench in schematic, just connect a vdc to the input of VCO.
(3) Then config, vdc with spectre; vco with verilogams; testbench with schematic;
(4) simulate in ADE with config view with tran response . choose ams simulator and OSS run option.

Then, irun err as following:

ncelab: *E,CUVDNF (./netlist.vams,23|28): Could not determine discipline for this expression .
vsource #(.dc(500.0m), .type("dc")) V0 (net1, cds_globals.\gnd! );

Could I attach vdc to the input? If not, how to test the vco or other examples then?

Thanks.

Regards.
Daniel
 

Attachments

  • ch3-listing22.tgz
    1.2 KB · Views: 89

The vco problem has been solved, just due to the stop list in the configuration. Symbol or verilogams should be chosen , not spectre. But the resisitor one still can't run. with the error message.

Fatal error found by spectre in `worklib+test_res+vams+0x10000001', during
circuit read-in.
"/home/daniel/simulation/test_res/ams/config/netlist/netlist.vams" 22:
`I3': An instance of `resistor', port name `m' not found.
"/home/daniel/simulation/test_res/ams/config/netlist/netlist.vams" 22:
`I3': An instance of `resistor', port name `n' not found.

I am sure the name of cellview is the same as the one in the verilogams text. Both are resistor. And I just change the name of the port to m and n.
 

Attachments

  • ch3-listing01.tgz
    764 bytes · Views: 86

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top