Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

sdf annotation problem

Status
Not open for further replies.

honey13

Member level 3
Joined
Apr 6, 2010
Messages
55
Helped
4
Reputation
8
Reaction score
4
Trophy points
1,288
Location
Hyderabad, India
Activity points
1,619
Hi all,

I am doing sdf simulations with ncsim. I enabled negative timing checks and specified RECREM and NTC options. But the tool is reporting warning as non exisitng timing checks for all the RECREM consructs, but actually in my lib file i have recrem related information, i was confused.The Warning is reported as follows:

ncelab: *W,SDFNET: Unable to annotate the non existing timing checks (RECEM (posedge CDN) (POSEDGE CK) (-0.006) (0.32) )of instance XXX for SDFCNQD2BWP<XXX>.


In my .lib for the cell SDFCNQD2BWP
cell(SDFCNQD2BWP)..
.
.
.
.
.
.
.
`ifdef NTC
`ifdef RECREM
.
.
.
RECEM (posedge CDN,POSEDGE CK,0,notifier);



Some one help me plz.
 

Plz post specify section in verilog decription for library cell SDFCNQD2BWP.
 

can you confirm the RECEM items can be found in you lib ? the instance hierarchy is correct?
 

Hi ljxpjpjljx,

In my .lib there are RECREM related items, where i need to check the hierarchy, the tool will map the library to the sdf by using library cell name right?
 

hi honey13, you should check the hierarchy, which tool you use ?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top