Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Registering Block Outputs

Status
Not open for further replies.

Avighna

Newbie level 3
Joined
Oct 8, 2009
Messages
4
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Location
United States
Activity points
1,317
Why should the outputs be registered always?

It says that we have to make sure that registers drive the block outputs . Could anyone please explain me why ?
 

Basic speaking, if non-registered ouput used as inputs to other blocks, the top level DC synthesis optimization will not very effecient. hope it will help you.
 

Registering outputs makes your timing closure a little easier. You should have a better chance of meeting timing if the registered output from one module is not eating into the timing budget of the next module.

- Hung
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top