Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Race condition problem (GateSim)

Status
Not open for further replies.

dearjohn

Newbie level 5
Joined
Jun 8, 2005
Messages
9
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,283
Activity points
1,357
After DC Synthesis
use VCS compile gate netlist to generate fsdb
VCS hold on after run 1ms
( cannot run to $finish written by pattern)
the fsdb file size never increase
press ctrl+c
use Verdi to trace waveform cannot find any problem
( just see the clock stoped , but dont know why)
then use VCS compile RTL , it's OK
(it seems stop in some state of the state machine, but Check RTL again
it's impossible form a endless loop in this state)
May I ask
it's VCS or Verdi hold the program ?
In this situation, how to debug ????
 

Re: GateSim Probelm?

There seems to be race condition problem. Checkout simulation delta count.
You run the simulation just till 1ms where it is about to enter into hang mode,
do single stepping to find out the race!
 

GateSim Probelm?

there is a bug in vcs probably
 

Re: GateSim Probelm?

you can check timescale is right,

perhaps you should skew some signal to avoid race condition.


dearjohn said:
After DC Synthesis
use VCS compile gate netlist to generate fsdb
VCS hold on after run 1ms
( cannot run to $finish written by pattern)
the fsdb file size never increase
press ctrl+c
use Verdi to trace waveform cannot find any problem
( just see the clock stoped , but dont know why)
then use VCS compile RTL , it's OK
(it seems stop in some state of the state machine, but Check RTL again
it's impossible form a endless loop in this state)
May I ask
it's VCS or Verdi hold the program ?
In this situation, how to debug ????
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top