Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Question about truncation phase in NCO

Status
Not open for further replies.

faye_hongdou

Member level 1
Joined
Nov 21, 2003
Messages
34
Helped
2
Reputation
4
Reaction score
1
Trophy points
1,288
Activity points
280
I saw ddt694's post, which mentioned:
Code:
you can realize a accumulator like this

process(clk) begin
if rising_edge(clk) then
acc(23 downto 0) <= acc(23 downto 0) + input;
end if; end process;

then, you can address the lookup table like this

process(clk) begin
if rising_edge(clk) then
nco_out(7 downto 0) <= rom(conv_integer(acc(23 downto 14));
end if; end process;

In the lookup table, the address is "conv_integer(acc(23 downto 14))". I do not understand that why the address is conv_integer(acc(23 downto 14)), but not conv_integer(acc(23 downto 0)).
 

Question about NCO.

it is so because it takes truncation of phase.
 

Re: Question about NCO.

But why should i take the phase truncation?

Is there any fundamental for NCO?
 

Question about NCO.

That's so you can use a simple 1K word ROM. Otherwise you'd need a 16 megaword ROM.
 

Re: Question about NCO.

faye_hongdou said:
I saw ddt694's post, which mentioned:
Code:
you can realize a accumulator like this

process(clk) begin
if rising_edge(clk) then
acc(23 downto 0) <= acc(23 downto 0) + input;
end if; end process;

then, you can address the lookup table like this

process(clk) begin
if rising_edge(clk) then
nco_out(7 downto 0) <= rom(conv_integer(acc(23 downto 14));
end if; end process;

In the lookup table, the address is "conv_integer(acc(23 downto 14))". I do not understand that why the address is conv_integer(acc(23 downto 14)), but not conv_integer(acc(23 downto 0)).

Try to find this book.
"Digital Frequency Synthesis Demystified DDS and Fractional-N PLLs
Bar-Giora Goldberg"

Let me know and I sent you this book by e-mail (If you can`t find it)

Other good source www.analog.com
search for DDS tutorial
 

Re: Question about NCO.

Try to find this book.
"Digital Frequency Synthesis Demystified DDS and Fractional-N PLLs
Bar-Giora Goldberg"

Let me know and I sent you this book by e-mail (If you can`t find it)

Other good source www.analog.com
search for DDS tutorial

Hi, I'm searching this document since two day and nothing...
ideas??
thank you

- - - Updated - - -

Hi, I'm searching this document since two day and nothing...
ideas??
thank you

Ok I found it...
 

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top