Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Query in multiple clockdomain

Status
Not open for further replies.

Ramesh Reddy

Newbie level 6
Joined
May 19, 2006
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,359
Hi all,

Whenever there is going to be transactions b/w 2 clock
domain, irrespective of the clock's speed you need to
synchronize them. Here synchronizer is needed to
avaoid the metastable states in the machine, which can
be caused due to 2 different clcok-tree's flop's
direct talking .

thanks in advance...

ramesh reddy
 

the best way is use fifo and read a one clock speed and write at another clock speed..

ensure that no fifo full occurs..


Regards
Shankar
 

Some cases:

Case 1: Single signal crossing clock domains, toggling slowly (i.e. not a pulse).
Use 2 flip-flops to re-time it into the new clock domain

Case 2: Single signal crossing clock domains, pulsing for 1 clock cycle in the original domain.
Convert the pulse into a toggled signal, pass the toggled signal through the clock boundary, re-time with 2 flip-flops, re-convert back into a pulse.

Case 3: Parallel data.
Use a FIFO.
 

Hi,
in this document, there is a section on getting asynchronous inputs and how to use handshake signals to prevent metastability. this should solve any problems of multi-clock domains.
 

Attachments

  • atmel_2123.pdf
    394.6 KB · Views: 50

Status
Not open for further replies.

Similar threads

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top