Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Problem regarding referencing in Verilog

Status
Not open for further replies.

haneet

Full Member level 3
Joined
Nov 7, 2006
Messages
160
Helped
14
Reputation
28
Reaction score
1
Trophy points
1,298
Activity points
2,219
file is not valid elfclass32 library

Hi Guyz,
I am facing a problem when i am compiling the verilog file attached

Here if u see i have mentioned the $monitor.

when i perform the command
$monitor ("time=%t,PADI_bus_rst=%b",$time,chip.PADI_bus_rst);
then it says:
Elaborating the design hierarchy:
ncelab: *W,MISSYST: Unrecognized system task or function (did not match built-in or user-defined names) [2.7.4(IEEE Std 1364-2001)].
If item was defined in a shared-object library, the problem could be:
libvpi.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library.
libpli.so: cannot open shared object file: No such file or directory or file is not valid ELFCLASS32 library..

$monitor ("time=%t,PADI_bus_rst=%b",$time,chip.PADI_bus_rst);
|
ncelab: *E,CUVHNF (./top/tb.v,327|58): Hierarchical name component lookup failed at 'chip'.

and when i do this then no problem:

$monitor ("time=%t,PADI_bus_rst=%b",$time,PADI_bus_rst);

I even checked with the path of the hierarchy if i have given somethng wrong. but that is not the case. The path is also correct.

can any1 help me?

HANEET
 

elfclass32 library

resolved it guyz!!!

it was to do with referencing...
I was referring the design top module with itz module name instead of itz instance name.

haneet
 

Re: elfclass32 library

"referring the design top module with itz module name instead of itz instance name"
what does you mean?
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top