Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

need information regarding file handling in verilog...

Status
Not open for further replies.

sasi_badveli

Newbie level 6
Joined
May 23, 2011
Messages
13
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,361
Hello all,
where can i find more information on saving data stream into a file in verilog?I am new to the file handling functions in verilog.Are file handling functions used only in test benches?Any help would be appreciated.
 

Hello yura717,
thanks for your reply.but can u please answer whether these file handling functions are written or used only in test benches?
 

Verilog model or testbench can read text and binary files to load memories, apply stimulus, and control simulation. Files can also be written.
Welcome To Verilog Page
 
Last edited:

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top