Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

ncvlog: *W,UNBINS: Unbound instance found:

Status
Not open for further replies.

dipakg

Newbie level 6
Joined
Jun 6, 2008
Messages
11
Helped
0
Reputation
0
Reaction score
0
Trophy points
1,281
Activity points
1,381
Hi,

I am trying to instiate the interface inside .v file and passing that interface instance in other two separate .v files.

Following are the files and content inside files. I am using ius6.2 version to run using ncsim command.

1. my_tb.v -> inside ./project/v_files/
`include my_intf.sv
module my_tb();
my_intf my_if();

my_driver d1(my_if);

my_wrapper w1(my_if);
endmodule

2. my_driver.v -> inside ./drivers/v_files/
`include my_intf.sv
module my_driver(interface m1_if);
m1_if.getData();
drive();
endmodule

3. my_wrapper.v -> inside ./project/v_files/
`include my_intf.sv
module my_wrapper(interface m2_if)
m2_if.setData();
endmodule

4. my_intf.sv -> inside ./project/intf_files/
interface my_intf;
task setData();
task getData();
endinterface



When I run the test, it gives me following error message:

ncvlog: *W,UNBINS: Unbound instance found: my_if::my_intf in unit worklib.my_tb:module.
ncvlog: *E,UNBERR: (1) unbound instance(s).
Total errors/warnings found outside modules and primitives:
errors: 1, warnings: 3
ncverilog: *E,VLGERR: An error occurred during parsing. Review the log file for errors with the code *E and fix those identified problems to proceed. Exiting with code (status 1).
ncsc_run: *E,TBSIMF: ncverilog returned non-zero exit status
make: *** [build_simulator] Error 1

Build execution time = 0:00:04


Can anybody tell me what can be the issue? If I get the answer today, it would be a great help.

Thanks,
Dipak
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top