Continue to Site

Welcome to EDAboard.com

Welcome to our site! EDAboard.com is an international Electronics Discussion Forum focused on EDA software, circuits, schematics, books, theory, papers, asic, pld, 8051, DSP, Network, RF, Analog Design, PCB, Service Manuals... and a whole lot more! To participate you need to register. Registration is free. Click here to register now.

Latch in metatstable state ?

Status
Not open for further replies.

heebah92

Newbie level 4
Joined
Feb 24, 2015
Messages
7
Helped
1
Reputation
2
Reaction score
1
Trophy points
3
Activity points
39
How to make the latch metastable in S-Edit (Tanner tools) ?
I have tried a lot of times..but failed each time . I used the "setup and hold time violation method".
Can someone demonstrate the same in S-EDIT.
 

Set up your input stimuli (CK, D) as vpulse sources and make
the D source delay a variable.

Step the D delay such that, across iterations, it slides past
the CK active edge (here, the latch edge that makes it hold).

You will see there is a point where the output (Q) flips from
following the D input's change, to holding its pre-change
value.

Now if you subdivide between the last delay that followed,
and the first delay value that held, step delay at finer grain,
you should see more fine structure - hold, followed by a
period of increasing delay, then true metastability, then it
snaps to following.

For purposes of timing analysis you do not only care about
true metastability, but the "goalpost" span where latch
delay exceeds timing model limits. One end of this range is
your setup time and the other is your hold time.
 

Status
Not open for further replies.

Part and Inventory Search

Welcome to EDABoard.com

Sponsor

Back
Top